Close

Form 6-K ASML HOLDING NV For: Jun 16

June 16, 2016 6:10 AM EDT

 

 

SECURITIES AND EXCHANGE COMMISSION

Washington, D.C. 20549

 

 

FORM 6-K

REPORT OF A FOREIGN ISSUER

PURSUANT TO RULE 13A-16 OR 15D-16

OF THE SECURITIES EXCHANGE ACT OF 1934

For June 16, 2016

 

 

ASML Holding N.V.

De Run 6501

5504 DR Veldhoven

The Netherlands

(Address of principal executive offices)

 

 

Indicate by check mark whether the registrant files or will file annual reports under cover of Form 20-F or Form 40-F.

Form 20-F  x            Form 40-F  ¨

Indicate by check mark whether the registrant by furnishing the information contained in this Form is also thereby furnishing the information to the Commission pursuant to Rule 12g3-2(b) under the Securities Exchange Act of 1934.

Yes  ¨            No   x

If ‘‘Yes’’ is marked, indicate below the file number assigned to the registrant in connection with Rule 12g3-2(b):

 

 

 


Exhibits    LOGO     

 

99.1   

“ASML to acquire HMI to enhance Holistic Lithography product portfolio”, press release dated June 16, 2016

99.2   

“ASML to acquire HMI to enhance Holistic Lithography product portfolio”, presentation dated June 16, 2016

 

2


SIGNATURES

Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized.

 

  ASML HOLDING N.V. (Registrant)
Date: June 16, 2016   By:  

/s/ Peter T.F.M. Wennink

    Peter T.F.M. Wennink
    Chief Executive Officer

 

3

Exhibit 99.1

Media Relations and Investor Relations Contacts are mentioned at the end of this press release

ASML to acquire HMI to enhance Holistic Lithography product portfolio

VELDHOVEN, the Netherlands, and HSINCHU, Taiwan, 16 June 2016 – ASML Holding NV [ASML], a leading provider of lithography systems for the semiconductor industry, and Hermes Microvision, Inc. (HMI) [3658TT], a leading supplier of pattern verification systems used for advanced semiconductor devices, announce that they have entered into an agreement under which ASML will acquire all outstanding shares of HMI in a cash transaction valued at about TWD 100 billion (approximately EUR 2.75 billion at current exchange rates).

The two companies are leaders in their respective fields and are already developing joint approaches that IC manufacturers can use to improve yields in the production of the most advanced microchips. The combination will allow ASML and HMI to further integrate and enhance their product offering at an accelerated pace.

The transaction, which was unanimously approved by the boards of directors of ASML and HMI, will entitle each HMI shareholder to receive TWD 1,410 per share in cash. The price per share reflects a premium of 31 percent over HMI’s 30-day volume-weighted average price (VWAP).

“Our over-arching goal is to serve our customers even better and offer them the tools they need to achieve higher yields at the most advanced nodes. This acquisition is intended to make a strong product offering even stronger. Our metrology technologies are complementary, and when combined offer the chance to significantly improve process control, and hence yields, for our customers. Our two companies have worked together for almost two years to see how we could best combine our capabilities, and found that we could significantly improve this constructive cooperation and better serve our customer by teaming up as one company. This also means that we expect enhanced product offerings faster,” said Peter Wennink, President and Chief Executive Officer at ASML.

 

1


The integrated offerings will address the challenges chip makers are facing as they enter sub-10 nanometer resolutions and 3D integration, requiring chip manufacturers to apply advanced process control. This requires very dense, high resolution metrology to measure and control device performance, whereas 3D integration requires very dense, high voltage contrast metrology for process control.

HMI has multiple years of e-beam application experience and leadership in semiconductor factories, focused on high resolution and voltage contrast imaging. HMI will continue to enhance these technologies and it will also boost ASML’s holistic lithographic portfolio of 1. lithography exposure systems, 2. computational lithography and 3. metrology. Between these three cornerstones ASML offers application products for process window enhancement, control and detection.

HMI e-beam metrology will deliver accurate patterning information, which ASML can use to optimize its powerful design and process models, a cornerstone of ASML’s successful computational lithography business. In return those models can be used to guide the optical and e-beam metrology in a cost-effective manner to characterize the most relevant features on the chip device. Ultimately, this information combined with ASML modeling will provide the ability to adjust ASML’s scanners settings for optimal operation in the customers’ factories. Therefore, the transaction fits very well within ASML’s holistic lithography strategy.

Furthermore, HMI has pioneered e-beam inspection systems that are specially designed for mask manufacturers to identify pattern defects in Extreme Ultraviolet (EUV) resulting from the mask. This will support the ramp of ASML’s EUV platform, set to be used for volume production of semiconductors starting in 2018.

“The combination of our two businesses is great news for all of our stakeholders, including our customers, employees, suppliers and investors, as it accelerates both companies’ roadmap development. We intend to continue to invest and grow HMI’s business at our two existing locations in Taiwan, where we already employ around 350 people. The transition to

 

2


sub-10 nm logic nodes and the ramp of advanced memory devices require innovation, and we look forward to continuing to help our customers make it a success, now by offering HMI and ASML technologies,” said Jack Jau, Chief Executive Officer at HMI.

Future customer requirements for better control of ever denser patterns at finer chip resolutions will drive product development of the integrated company for more advanced and faster e-beam systems. ASML and HMI expect that together they will be able to serve a significant portion of this fast-growing market.

The transaction is expected to close in the fourth quarter of 2016 and is subject to customary closing conditions, including review by Taiwanese, U.S. and international regulators. Closing is also subject to approval by HMI’s shareholders. Hermes-Epitek Corporation (HEC) and certain affiliates, as well as certain officers of HMI, currently own approx. 48% of HMI shares in total and have entered into agreements with ASML pursuant to which they have agreed to vote in favor of, and otherwise support, the transaction.

As part of the transaction, HEC and certain HMI officers have also agreed to (re)invest in ASML part of the proceeds to be received by them from selling their HMI shares in the transaction, underscoring their belief in the strategic rationale for the transaction and their commitment to the combined businesses going forward. Accordingly, ASML expects to issue a total number of 5.9 million ASML shares (corresponding to approx. 1% of ASML shares currently outstanding) at a subscription price of TWD 3,106 per share (equivalent to EUR 85.24, for an aggregate value of approximately EUR 500 million)¹. The newly issued ASML shares will be subject to a minimum holding period of two and a half years.

ASML expects to finance the acquisition of HMI with approximately EUR 1.5 billion of debt, approximately EUR 500 million of ASML equity to be purchased by HEC and the relevant HMI officers as noted above, and the remainder from available cash.

Excluding non-cash purchase price accounting adjustments, the transaction is expected to be accretive to ASML’s EPS immediately.

 

3


Further information

ASML will further elaborate in its upcoming results earnings call and is also planning to hold an investor day on 31 October 2016 in New York City at which additional discussion of this acquisition will take place.

About ASML

ASML is one of the world’s leading manufacturers of chip-making equipment. Our vision is to enable affordable microelectronics that improve the quality of life. To achieve this, our mission is to invent, develop, manufacture and service advanced technology for high-tech lithography, metrology and software solutions for the semiconductor industry. ASML’s guiding principle is continuing Moore’s Law towards ever smaller, cheaper, more powerful and energy-efficient semiconductors. This results in increasingly powerful and capable electronics that enable the world to progress within a multitude of fields, including healthcare, technology, communications, energy, mobility, and entertainment. We are a multinational company with over 70 locations in 16 countries, headquartered in Veldhoven, the Netherlands. We employ close to 15,000 people on payroll and flexible contracts (expressed in full time equivalents). ASML is traded on Euronext Amsterdam and NASDAQ under the symbol ASML. More information about ASML, our products and technology, and career opportunities is available on: www.ASML.com

About HMI

Established in 1998, HMI has been committed to the research and development of the most advanced E-beam Inspection (EBI) tools and solutions for the leading semiconductor manufacturing fabs. Today, HMI is the leading supplier of EBI tools for both foundry and memory fabs worldwide. Based on our proprietary electron gun and column technologies and highly effective defect inspection algorithms, we deliver multiple product lines, including eScan® Series / ePTM Series / eXplore® Series, for various R&D and production applications.

The e-beam system used by HMI is ideally positioned for sub-10 nm logic nodes, because of e-beam’s ability to see in great detail even the tiniest features on these very advanced chips.

 

4


ASML Media Relations contacts:

Veldhoven, Netherlands: Niclas Mika: +316 2015 2863

San Jose, United States: Lucas van Grinsven +316 1019 9532

San Diego, United States: Brittney Wolff Zatezalo +1 408 4833 207

Hsinchu, Taiwan: Karen Lo +886 93978 8635

ASML Investor Relations contacts:

Veldhoven, Netherlands: Craig DeYoung: +1 939 788 635 and Marcel Kemp +316 1385 0960

Wilton (CT), United States: Pete Convertito: +1 203 919 1714

Kansas City (KS), United States: Skip Miller: +1 480 235 0934

HMI contact:

Investor Relations, Leila Chen +886 3666 9229 Ext. 5161

Regulated Information

This press release constitutes regulated information within the meaning of the Dutch Financial Markets Supervision Act (Wet op het financieel toezicht).

Important Notice

The referred to herein have not been and will not be registered under the U.S. Securities Act of 1933, as amended (the “Securities Act”) or any applicable state securities laws, and, unless so registered, may not be offered or sold in the United States absent registration or an applicable exemption from, or in a transaction not subject to, the registration requirements of the Securities Act and other applicable securities laws.

Forward Looking Statements

This document contains statements relating to certain projections and business trends that are forward-looking, including statements with respect to the acquisition of HMI by ASML, the expected benefits of the acquisition of HMI by ASML, including enhancement of ASML’s existing product portfolio, improvement in ASML and HMI’s metrology technologies and support of EUV technologies, the benefits of the acquisition to ASML’s holistic lithography strategy, expected trends in holistic lithography, expected sales in holistic lithography,

 

5


expected timing of EUV volume production, the timing of closing of the acquisition, the issuance of ASML shares pursuant to the transaction, the financing of the acquisition and the impact of the acquisition on ASML’s earnings per share. You can generally identify these statements by the use of words like “may”, “will”, “could”, “should”, “project”, “believe”, “anticipate”, “expect”, “plan”, “estimate”, “forecast”, “potential”, “intend”, “continue” and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, the inability to obtain HMI shareholder and regulatory approval of the transaction, the satisfaction of other conditions to the closing of the transaction, the possibility that the length of time necessary to consummate this transaction may be longer than anticipated, the achievement of the expected benefits of the transaction, risks associated with integrating the businesses of ASML and HMI, the possibility that the businesses of ASML and HMI may suffer as a result of uncertainty surrounding the proposed transaction, the expected developments in metrology technologies, the anticipated effect of this transaction on ASML’s earnings per share, the development of the holistic lithography market, and other risks indicated in the risk factors included in ASML’s Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. ASML and HMI do not undertake any obligation to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise.

Footnote 1: Based on the VWAP for the 30 trading days on Euronext Amsterdam up to and including 13 June 2016 and the prevailing EUR/TWD exchange rate on 13 June 2016.

 

6

Exhibit 99.2

 

LOGO

Public
HMI Hermes Microvision ASML
ASML to acquire HMI to enhance Holistic Lithography product portfolio
Veldhoven, the Netherlands
Hsinchu, Taiwan
16 June 2016


LOGO

Forward Looking Statements
ASML
Public
Slide 2
16 June 2016
This document contains statements relating to certain projections and business trends that are forward-looking, including statements with respect to the acquisition of HMI by ASML, the expected benefits of the acquisition of HMI by ASML, including enhancement of ASML’s existing product portfolio, improvement in ASML and HMI’s metrology technologies and support of EUV technologies, the benefits of the acquisition to ASML’s holistic lithography strategy, expected trends in holistic lithography, expected sales in holistic lithography, expected timing of EUV volume production, the timing of closing of the acquisition, the issuance of ASML shares pursuant to the transaction, the financing of the acquisition and the impact of the acquisition on ASML’s earnings per share. You can generally identify these statements by the use of words like
“may”, “will”, “could”, “should”, “project”, “believe”, “anticipate”, “expect”, “plan”, “estimate”, “forecast”, “potential”, “intend”, “continue” and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, the inability to obtain HMI shareholder and regulatory approval of the transaction, the satisfaction of other conditions to the closing of the transaction, the possibility that the length of time necessary to consummate this transaction may be longer than anticipated, the achievement of the expected benefits of the transaction, risks associated with integrating the businesses of ASML and HMI, the possibility that the businesses of ASML and HMI may suffer as a result of uncertainty surrounding the proposed transaction, the expected developments in metrology technologies, the anticipated effect of this transaction on ASML’s earnings per share, the development of the holistic lithography market, and other risks indicated in the risk factors included in ASML’s Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. ASML and HMI do not undertake any obligation to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise.
HMI Hermes Microvision


LOGO

Strategic rationale: combination of HMI and ASML technology boosts control solutions and market opportunity
ASML
Public
Slide 3
16 June 2016
ASML is a technology leader in lithography solutions. HMI is a technology leader in e-beam metrology. Both companies have established product roadmaps for advanced logic and memory customers
Combination of HMI e-beam metrology technology and ASML Holistic Lithography will create a new class of products for patterning control, improve time to market of critical technologies needed to support extension of Moore’s law
Adressable market opportunity for qualification, monitoring and control is EUR 2.3 billion in 2020
ASML and HMI will accelerate introduction of reticle defect detection to support coming EUV ramp, an opportunity of EUR 200 million in 2020
The transaction will be accretive to ASML earnings immediately (before the impact of purchase-price accounting)
HMI Hermes Microvision


LOGO

ASML and HMI Business at a glance
ASML
Public
Slide 4
16 June 2016
ASML
Year founded: 1984
Locations: headquarters in The Netherlands; 70 offices, 16 countries
Employees: ~15,000
Sales 2015: EUR 6.3 billion Profitability: EBIT margin = 25%
ASML invents, develops, manufactures and services lithography machines, metrology systems and software products that together allow chip manufacturers to follow Moore’s Law and produce ever smaller, cheaper, more powerful and energy-efficient semiconductors
Expertise: Holistic lithography
• High end EUV and DUV scanners
• Metrology
• Computational lithography
HMI HERMES MICROVISION
Year founded: 1998
Locations: Headquarters in Taiwan, locations in China, Korea, Taiwan, Japan and the U.S.
Employees: ~700
Sales 2015: TWD 6.7 billion (EUR 182 million) Profitability: EBIT margin = 37%
HMI invents, develops, manufactures and services electron beam (e-beam) metrology equipment for high resolution and voltage contrast imaging of advanced chip manufacturers devices, supporting process control and yield enhancement.
Expertise: E-Beam metrology technology
• Fast, high resolution imaging for <10 nm Logic defect detection
• Fast, voltage-contrast imaging for DRAM, 3D NAND
• EUV reticle defect detection
HMI HERMES MICROVISION


LOGO

ASML Holistic Lithography seeks to maximize lithography process performance and control
ASML
Public
Slide 5
16 June 2016
1
Lithography scanner with advanced control capability
(imaging, overlay and focus)
Process Window
Enhancement
Process Window Control
2
Metrology
3
Computational
Lithography
-1 0 +1
Process Window Detection
HMI HERMES MICROVISION


LOGO

HMI e-beam technology supports leading edge metrology products for <10nm logic & memory applications
ASML
Public
Slide 6
16 June 2016
eScan® 500, eP™4 & SkyScan™ with Supernova D2DB technology
eScan® 420 & NanoScan™ Voltage
Contrast & CDU
eXplore™ with D2DB technology
Logic and Memory Pattern fidelity control
Detection of patterning fidelity error in Logic and Memory devices
Memory 3D integrity control
Detection of 3D integrity errors on Memory devices
EUV reticle defects
Reticle Wafer
Defect Reference
E-beam captures EUV reticle defects on reticle and/or wafer
HMI Hermes Microvision


LOGO

ASML holistic lithography now:
YieldStar metrology measures wafers after develop, data feeds computational lithography models for optimum scanner control
ASML
Public
Slide 7
16 June 2016
1
Scanner Control
2
YieldStar Metrology
After Litho
3
Computational Lithography
HMI Hermes Microvision


LOGO

ASML holistic lithography future - a new paradigm:
E-beam added: ASML model guides e-beam to improve coverage of critical features; e-beam data enhances ASML model and control of scanner
ASML
Public
Slide 8
16 June 2016
1
Scanner Control
Scanner Metrology
(100% of wafers)
2 YieldStar Metrology
After Litho
2
E-beam Metrology
After Litho/etch
Calibrate model
Guide to areas of interest
3
Improved control
Computational Lithography
HMI Hermes Microvision


LOGO

Qualification, monitoring and control landscape today
HMI/ASML addressable market EUR 0.7 billion (excluding bright field inspection)
ASML Public Slide 9 16 June 2016 Measurement resolution/ accuracy (nm)
Voltage contrast E-Beam metrology single beam
20 High resolution bright field inspection 10 E-Beam metrology single beam 1 Process control enabled by Holistic Lithography
0.1 R&D qualification Manufacturing monitoring Manufacturing control 0.001%
0.1-1% Sampling (% of wafers) 1-10%
100% Today HMI Hermes Microvision Today ASML Today Others HMI Hermes Microvision


LOGO

HMI e-beam metrology technology combined with ASML holistic lithography will boost customers control strategy in the future HMI/ASML addressable market EUR 2.3 billion ASML Public Slide 10 16 June 2016 Measurement resolution/ accuracy (nm) Voltage contrast E-Beam metrology single beam Voltage contrast e-beam metrology enabled by multi beam 3D integrity control: voltage contrast e-beam metrology enabled by Holistic Lithography 20 High resolution bright field inspection 10 E-Beam metrology single beam E-beam metrology enabled by multi beam Pattern fidelity control: enabled by e-beam metrology and Holistic Lithography 1 Process control enabled by Holistic Lithography 0.1 R&D qualification Manufacturing monitoring Manufacturing control 0.001% 0.1-1% 1-10% 100% Sampling (% of wafers) HMI HERMES MICROVISION ASML Others Future ASML HMI HERMES MICROVISION HMI HERMES MICROVISION


LOGO

HMI e-beam will also support EUV production insertion HMI has pioneered e-beam EUV reticle metrology systems with a market opportunity of more than EUR 200 million in 2020 ASML Public Slide 11 16 June 2016 Source: ASML, assuming 25% attach rate per EUV scanner HMI HERMES MICROVISION


LOGO

Value proposition   

ASML
Public
Slide 12
16 June 2016
The combination of HMI e-beam metrology to ASML holistic triangle offers the opportunity for a new class of products to provide logic and memory customers with a comprehensive control strategy, to help semiconductor manufacturers achieve faster time to market and more good die per wafer
Driving the shift from monitoring wafers to controlling wafers – from only overlay today to pattern fidelity tomorrow – will improve process performance and support further extension of Moore’s law
Voltage contrast application provides memory customers with 3D integrity check
The patterning control opportunity represents a major growth opportunity in the next 5-10 years and the combination of HMI and ASML will allow us to play a major role in this market
E-beam EUV reticle metrology systems represent a market opportunity of more than EUR 200 million in 2020
HMI HERMES MICROVISION


LOGO

Transaction terms
ASML
Public
Slide 13
16 June 2016

   HMI shareholders receive aggregate cash consideration of TWD 100 billion
   (equivalent to approximately EUR 2.75 billion)
   Offer price of TWD 1,410 per HMI share
Consideration    Represents 31% premium to 30-day average VWAP
   Hermes-Epitek Corporation and certain HMI officers reinvest approximately EUR 500
   million in newly issued ASML shares (5.9 million shares at a subscription price of
   TWD 3,106 per share) which are subject to minimum holding period of 2 1/2 years
   Transaction financed with approximately EUR 1.5 billion of debt, approximately EUR 0.5
   billion of ASML equity as noted above, and the remainder from available cash.
Financing    ASML stays committed to its conservative financial policy including strong liquidity buffer
   Subject to HMI shareholder vote and other customary closing conditions
   Committed support from 48% of HMI shares outstanding
Conditions and timing    Transaction expected to close Q4 2016

HMI HERMES MICROVISION


LOGO

ASML   


Serious News for Serious Traders! Try StreetInsider.com Premium Free!

You May Also Be Interested In





Related Categories

SEC Filings